第四方物流公司

首页 » 常识 » 预防 » 全球半导体设备企业名单
TUhjnbcbe - 2023/11/29 23:13:00
白癜风抗复发 https://m-mip.39.net/baidianfeng/mipso_7915776.html

半导体制造过程会使用超过50种不同类型的复杂晶圆处理和测试设备。光刻机代表了晶圆厂商最大的资本支出之一,而且确定了晶圆厂可以生产的芯片先进程度。先进的光刻设备,特别是那些采用极紫外线(EUV)技术的设备,是生产5纳米及以下工艺芯片所必需的,一台EUV机器的售价就高达1.5亿美元。开发和制造这种先进的高精度制造设备需要在研发方面进行大量投资。半导体设备制造商通常将其营收的10-15%用于技术和产品研发。半导体设备制造商的整体研发水平为9%,在整个半导体产业的价值占比约11%。

在半导体设备领域,核心装备集中于日本、欧洲、美国、韩国四个地区。Gartner的数据显示,列入统计的、规模以上全球晶圆制造设备商共计58家,其中,日本企业最多,达到21家,占36%。其次是欧洲的13家、北美10家、韩国7家,中国4家(上海盛美、上海中微、Mattson(亦庄国投收购)和北方华创,仅占不到7%)。

在半导体制造设备领域,美国占比41%,以LAM(泛林半导体)、AMAT(应用材料)和KLA(科磊半导体)为代表。而中国仅占7%,以中微半导体和北方华创为代表。

全球半导体设备行业的龙头主要有:

1、美国应用材料AMAT:AppliedMaterials

2、美国泛林半导体LR:存储设备占60-70%

3、美国科磊(又称科天)KLA:KLA-Tencor

4、美国泰瑞达:Teradyne

5、日本东京电子TEL:TokyoElectron

6、日本爱德万:Advantest

7、日本迪恩仕(又称斯科半导体):

8、日本国际电器:

9、日本日立高新:HitachiHigh-Tech

10、荷兰阿斯麦ASML:

11、先进太平洋科技(ASMPacificTechnology)

前十大半导体设备公司占总市场规模的96.10%。

一、美国半导体设备企业

美国半导体设备公司的主要优势在于物理气相沉积设备PVD、检测设备、离子注入机和化学机械抛光设备CMP等半导体制造中的核心设备。化学气相沉积CVD、刻蚀设备等也具有较强的优势,而光刻机、氧化、退火、去胶等其他设备,日本和荷兰公司有较大优势,或并不弱于美国公司。在刻蚀、氧化炉管、清洗等少部分设备领域,中国公司也有所突破。

半导体装备中价值最高的是光刻设备,占比高达30%,该设备占绝对优势的是荷兰公司阿斯麦,市占率为73.5%,其次以尼康、佳能等,其次为刻蚀设备,占比为20%,该领域由LAM、TEL以及AMAT三分天下,沉积设备价值占比为25%,属于应用材料传统领域。

1、美国应用材料公司AMAT

创始人MichaelA.McNeilly出生于美国蒙大拿州,年轻时曾经是位橄榄球和篮球运动员,后来进入华盛顿州的Gonzaga大学继续打球和从事癌症研究。研究生毕业后他加入美国联合碳化物公司参与三氯氢硅业务。这种化学材料是生产多晶硅的重要原料。就这样他开始和半导体工业粘上边。在联合碳化物公司,他因成功找到检验三氯氢硅品质的办法而声名鹊起。年他参与一家叫Apogee的小公司,为半导体工业提供化学产品。这段期间他得以和半导体行业的先驱仙童公司来往频繁,成为他们的供应商之一。也是在这段时间里,他和戈登摩尔在内的半导体行业先驱们建立密切的个人关系。年,在他28岁那年,就在他家的厨房餐桌上,他创立了应用材料公司。包括戈登摩尔在内许多半导体大咖都是他的投资人。值得一提的是,今天中国半导体设备的龙头企业上海中微的创办人尹志尧曾经就是美国应用材料公司的副总裁。

年该公司就推出AMSSiloxreactor,第一套可以在晶圆表面淀积SiO2薄膜的设备。同年推出的AMVD垂直外延reactor系统支持同时处理8片2寸wafer。年,他们推出第一套辐射加热的CVD系统。年,推出首套针对LED显示器件的商用淀积系统。年实现IPO上市。2年后他们搬入位于SantaClara的新厂房。年,全球半导体工业遭遇危机。在新总裁JamesC.Morgan的带领下,应用材料公司淘汰了若干不盈利的业务,重新聚焦半导体设备,不到一年,他们就实现了17%的销售额增长。那一年,他们同仙童公司合资成立一家生产多晶硅的公司。年,他们推出首套等离子CVD系统。年设立日本公司。年收购Lintott工程公司的离子注入业务。年,应用材料日本分公司成立,正式进入亚洲市场。年,应用材料公司推出AME刻蚀系统。年,应用材料公司在中国开始业务并且成为第一家进入中国的外资半导体生产设备供应商。年,应用材料公司推出PrecisionCVD系统,帮助公司成为单晶圆,多腔制造的领导企业。年代,应用材料公司积极进入平板显示工业,并率先成为mm晶圆设备的提供商。年,推出PVD(物理气相沉积)产品:EnduraVHPPVD系统。年,应用材料公司在美国加利福尼亚硅谷设立梅丹(Maydan)技术中心。年,推出革命性的选择性刻蚀系统ProducerSelectra系统。

AMAT创立于年,并于年上市,财年全年营收亿美元,总部位于美国加利福尼亚硅谷圣克拉拉,全球拥有名员工,拥有13个专利,在19个国家和地区设置个分支机构。主要生产半导体,TFTLCD显示屏,玻璃,WEB和太阳能电池(晶体和薄膜)产品设备,服务和软件的公司。

包括沉积(CVD、PVD等)、离子注入、刻蚀、快速热处理、化学机械平整、计量检验等。全球服务模块主要提供一系列提高晶圆厂效率的解决方案以及软件服务,显示器相关业务主要生产用于制造LED、OLED和其他显示器件的设备。

应用材料最核心的部门在于半导体系统模块。按半导体制造流程,可分为硅片制造、晶圆制造、封装测试三个环节,晶圆制造设备占比最高。根据SEMI的数据,设备中的70%以上是晶圆的制造设备,以一座投资规模为15亿元美金的晶圆厂为例,晶圆厂70%的投资用于购买设备(约10亿美金)。晶圆制造设备中,光刻机、刻蚀机和薄膜沉积设备为核心设备,分别占晶圆制造环节的约30%、25%和25%。

美国应用材料在离子刻蚀和薄膜沉积领域都是行业中的佼佼者,尤其是在早期就专注的薄膜沉积领域,其产品占全球PVD(PhysicalVaporDeposition)设备市场近55%的份额,占全球CVD(ChemicalVaporDeposition)设备市场近30%的份额。

公司最大的客户为三星电子、台积电、镁光科技、英特尔,都占到了营业收入的百分之十以上。

对外并购

年11月,美国应用材料公司以2.85亿美元总金额收购两家以色列公司OpalTechnologies和OrbotInstruments。Opal开发和制造高速计量系统用于半导体制造商在生产集成电路过程中来验证关键尺寸。年6月27日,美国应用材料公司以万美元的现金收购以色列激光清洗技术的半导体晶片的供应商Oramir半导体设备有限公司。

年1月,公司收购意大利一家生产太阳能电池所用的工具设计公司Baccini。

年,公司在中国西安成立世界上最大的商业太阳能研究和开发设施太阳能技术中心。年12月,美国应用材料公司收购Semitool公司。

公司宣布将在年5月收购瓦里安半导体公司。

公司宣布将于年9月24日与东京电子合并。合并后的公司将是世界上最大的半导体加工设备供应商,总市值约为亿美元。后未被批准。

应用材料采取CPC来利用生产中的大数据解决晶圆制造过程中复杂的品控问题。CPC在软件、算法以及机器学习基础上展开的对数据的分析,将分析的结果应用到生产过程中,对复杂的半导体生产过程进行控制。依据CPC做出的决策加速了机器的学习过程,有助于芯片制造商更快对缺陷进行分类,找出根本原因并解决良率问题。其最新发布的SEMVISIONG7采用最新成像技术和增强的机器学习能力,是目前市面上唯一具有高分辨率成像,以及经生产验证的、具有先进机器学习自动缺陷分类能力的系统

在工艺系统更新方面,在年,公司研发Centura系统原子沉积技术(ALD),一次可只沉积一层原子;年,公司研发Endura系统,能够完成连续薄的阻挡层和种子层的硅通孔沉积。在年,公司推出采用全新设计的新型CENTURA毫米常压厚硅外延反应室PRONTO,该反应室专为生产工业级高质量厚硅(厚度为20~微米)外延膜而设计,能使当前的外延膜生产效率最大化(一次只对一个晶圆实施外延工艺)。且在刻蚀领域,公司研发的Etch系统以前所未有的功能特性,能够实现先进FinFET的原子级刻蚀控制,进一步缩减3D逻辑和存储芯片尺寸。

应用材料的研发投入占公司营业收入的10%以上。

传统设备公司往往将重心放在产品研发和推出,应用材料却将“服务”放在了和产品几乎同等重要的位置上,一直不只是以满足客户需求为标准,更多考虑的是创新客户的需求,其与客户的互动模式值得借鉴。(1)创造增加客户粘性的产品模式:20世纪70年代,应用材料当时的首席执行官吉姆提出,“设备厂商比客户更了解客户的需求”,创立“解决方案”部门,将服务附加到设备中形成更具粘性的解决方案产品。最终成为整个行业争相学习的服务模式。现在应用材料能够根据不同厂家的需求为他们设计产品,提供咨询、备件、服务和自动化软件,以提高设备和工厂运营的性能和生产力;还能够提供供应链解决方案服务,从交易性备件到提供专家重建和预测性零件管理的综合计划,全面优化的全球零件网络支持当今和未来半导体和显示器制造业的额外复杂性。这使得客户在购买应用材料产品时能够配套完整的运输、使用、质控流程,培养了客户黏性。(2)跟随客户转移,增加距离粘性:应用材料对如何成为一个好的设备厂商有一个清晰的认识,那就是能够让客户在需要的时候找到你。

2、美国泛林半导体LR:又称拉姆研究(代码LRCX)

年,林杰屏(DavidK.Lam)创办了泛林半导体。林杰屏博士祖籍广东花县。生于越南西贡堤岸。年与其弟到香港培正中学学习。毕业后赴加拿大深造。年在多伦多大学电机物理系毕业,去美国麻省理工学院攻读化学工程。年获博士学位。在得克萨斯州仪器公司任职1年后,转到施乐公司。年又转到惠普公司,任研究部门主管。在创建拉姆研究之后,年他还创建了一家电脑终端公司Link科技,后来这家公司被WYSE收购。

,发布发布第一款产品——离子刻蚀设备AutoEtch;年,首次公开发行IPO,登陆纳斯达克;年,发布AutoEtch;年,将总部搬到弗里蒙特CushingParkway,发布RainbowEtch系列;年,发明单晶圆旋转清洗系统;年,进入中国大陆市场;年推出SP系列旋转清洗系统;年又发布首个双频介质刻蚀产品;年发布HDP-CVD系统;0年发布2刻蚀平台和VECTORPECVD系统;4年发布第一代Kyyo和Flex刻蚀产品;4年发布ALTUStungstenbarrierCVD系统;年,与加州上市公司诺发系统Novellus合并;年,收购同业科磊半导体(KLA-Tencor)。

LamResearch,主要是蚀刻机、CVD(化学气相沉积)、清洗、镀铜等设备。年营收95亿美元,在全球半导体装备行业位列第四,仅次于ASML、TEL日本东京电子及KLA。年,泛林集团实现营收为.29亿美元,折合人民币亿元,位居全球第三。

经营理念:林杰屏始终坚持从事离子刻蚀机设备领域,同时非常有前瞻性地将数字技术用于设备控制。专业、注重技术研发,是泛林集团取得成功的基因,而这样的基因是林杰屏一开始就赋予企业的。

年全球半导体销售额仅为亿美元,年这一数字达到0亿美元,30年翻了超21倍。蛋糕不断做大,能分到的蛋糕当然也就越来越多。

年,其发布了革新性的等离子刻蚀技术及系统解决方案,将刻蚀精度提升五成以上。

年11月,泛林半导体设备技术公司(纳斯达克交易代码:LRCX)与科磊半导体设备技术有限公司(纳斯达克交易代码:KLAC)共同宣布达成决定性协议,经双方董事会一致同意,由泛林半导体以股权置换加现金的方式收购科磊半导体全部股份。

科磊半导体原有股东有权将所持股份以每股32美元加泛林半导体半股的形式进行兑换,兑换方式可以选择全部现金、全部股票,抑或部分现金和部分股票。详细配股方式将依据并购协议中的相关内容。根据泛林半导体在年10月20日的收盘价,泛林半导体将以每股67.02美元,或交易总值亿美元收购科磊半导体。

3、美国科磊半导体KLA-TencorCorporation(又译科天)

KLA主要在晶片、晶圆、光罩制造测试等多个领域为芯片制造商、晶圆代工厂等客户提供制程控制设备和良率管理方案。公司的业务遍布全球,主要销往中国大陆、中国台湾,韩国等地区,在财年美国本土外地区的收入超过80%。公司提供的主要是半导体前道检测设备,对加工制造过程进行实时的监控,确保每一步加工后的产品均符合参数要求。年前道检测设备的市场规模约为58亿美元。财年公司的营收为46亿美元,净利润为12亿美元。

在整个半导体市场规模中,KLA主要生产的过程控制设备市场规模占比约为15%。

KLA的客户主要是芯片制造厂商和代工厂,其中芯片制造商主要为逻辑芯片和存储芯片制造商。公司的主要客户有台积电、三星电子等电子设备生产厂商。

TencorInstruments,Inc.与KLAInstruments同年成立。尽管两家公司都生产用于半导体的检测设备,但双方的产品线专注于半导体生产的不同部分。KLA专注于缺陷检测解决方案,而Tencor则致力于量测解决方案。

年为了强化公司的市场竞争力,KLA同意以13亿美元一对一的股票互换合并Tencor

双方合并是互补,KLA的高端自动光学晶圆检测、光罩检测和其他良率工具,加上Tencor的良率监测过程诊断工具,可以为半导体制造商提供了更加完整的良率管理产品和服务。年5月双方完成合并,命名为KLA-TencorCorp.年2月完成对Orbotech%的收购:公司的收购金额约为32.6亿美元,Orbotech的主要业务是为PCB、平面显示器,IC等电子设备制造商提供检查,测试,测量设备和服务。KLA完成收购后将延伸公司业务,进入PCB和显示屏市场,带来多维的营收。

4、美国泰瑞达(Teradyne)(纽约证券交易所代号:TER)

年由AlexdArbeloff和NickDeWolf在马萨诸塞州创办,从生产二极管测试仪起家,到今天已经成为自动测试设备(AutomaticTestEquipment,ATE)领导品牌。公司是唯一能够覆盖模拟、混合信号、存储器及VLSI器件测试的设备提供商。公司的下游客户遍布半导体整条产业链,世界知名厂商台积电、JA三井租赁株式会社、三星电子、Intel、美光、意法半导体、伟创力、高通公司、德州仪器、联发科、恩智浦、日月光、安靠、苹果、西部数据、希捷、东芝等都是其重要客户。

测试台市场份额长期处于行业第一位,年市场份额为50%,预计年将增加至54%-56%。公司是SoC测试台领域的绝对龙头,年在SoC测试台的市占率为56.60%。年公司营收达21.37亿美元。

年早期,泰瑞达收购Aida和CaseTechnologies,进入了计算机辅助工程(CAE)业务领域,年达到公司营收35%。

年,收购电路板测试系统制造商Zehntel扩展了其元件测试业务;同年推出了第一款模拟VLSI测试系统A。

年,收购了Megatest公司,扩大了半导体测试业务,以推出更小、更便宜的测试仪,通过Catalyst和Tiger测试系统成为高端片上系统(SoC)测试的市场领导者。

0年,收购了HercoTechnologies和Synthane-Taylor,年收购了为汽车制造提供电路板测试和检验设备的GenRad,并将其合并到装配测试部门。

年,收购Nextest和EagleTestSystems,扩大了其半导体测试业务,分别服务于闪存测试市场和大批量模拟测试市场。同年,凭借内部开发的Neptune产品进入磁盘驱动器测试市场,该产品服务于数据密集型互联网和计算存储市场。并购后因经营得当,公司高管层非常注重供应链的优化整合及财务方面对现金流进行有效的管理,公司业绩蒸蒸日上,不仅于年重新夺回市场桂冠,而且在后期持续拉开与爱德万在该领域的差距。

年,收购了无线产品测试解决方案供应商LitePoint。随着LitePoint的加入,泰瑞达的产品组合从半导体芯片的晶圆测试延伸到系统级电路板,再到终端产品。

年,收购大功率半导体行业测试设备供应商Lemsys,扩展泰瑞达在新兴和快速增长的功率分立领域测试市场中的作用

5、美国亚舍利Axcelis

全球第二大离子注机生产商,设计、制造和服务用于半导体芯片制造的离子注入和其他处理设备。除设备外,公司还提供售后全生命周期产品和服务,包括二手工具、备件、设备升级、维护服务和客户培训。

Axcelis于年在特拉华州注册,其总部位于马萨诸塞州的贝弗利。

6、美国AIBT

离子注入机生产商。

二、日本半导体设备企业

日本企业占全球半导体设备总体市场份额高达37%。在电子束描画设备、涂布/显影设备、清洗设备、氧化炉、减压CVD设备等重要前端设备、以划片机为代表的重要后道封装设备和以探针器为代表的重要测试设备环节,日本企业处于垄断地位,竞争力非常强。

在前道15类关键设备中,日本企业平均市场份额为38%,在6类产品中市场份额占比超越40%,在电子束,涂布显影设备市场份额超过90%;在后道9类关键设备中,日本企业平均市场份额为41%,在划片,成型,探针的市场份额都超过50%。

具体市场份额和市占率如下图所示。

自年索尼开始研发半导体收音机开始,日本半导体产业开始起步。此后,存储器一度成为日本的第一产业,特别是DRAM。

日美芯片展开竞争后,日本半导体芯片奠定了在全球的第一地位,配套的日本半导体材料和设备也快速崛起。日本芯片产业上演了“一人得道鸡犬升天”的飞速发展。另外一个重要原因,日本本土半导体企业不断更新设备,同时驱使设备厂商不断提升技术,再加上日本*府领导的“官产学”一体化研发,*策上鼓励及大力支持,可以说是技术和市场驱动两条线走路,让日本在设备领域突飞猛进。

据统计,日本在年之前,半导体制造装备几乎是从国外进口,但是到了年代初,70%以上的半导体制造装备日本已经实现完全国产化。日本的半导体设备厂商为世界出口了大约37%的半导体设备。

1、日本东京电子TEL

东京电子是一家位于日本的半导体设备提供商,主要从事半导体设备和平板显示器设备制造。目前半导体设备营收占90%以上。其主要产品包括:涂布/显像设备、热处理成膜设备、干法刻蚀设备、CVD、湿法清洗设备及测试设备。

东京电子(TokyoElectron,TEL)在年排名第3,由于年营收较年增长高达27%,排名超过LamResearch,一跃成为第二。

是日本最大的半导体制造设备提供商,也是世界三大半导体制造设备提供商。主要从事半导体制造设备和平板显示器制造设备的研发和生产,全球拥有1.1万名员工

财报显示,根据东京电子年4月25日发布的财报(年4月1日到年3月31日),东京电子的营收总额、营业利润、净利润均创历史最高水平,是日本半导体领域当之无愧的赚钱明星。

其中营收额亿日元(约合亿人民币),净利率亿日元(约合亿人民币)。

东京电子的产品几乎覆盖了半导体制造流程中的所有工序。其主要产品包括:涂布/显像设备、热处理成膜设备、干法刻蚀设备、CVD、湿法清洗设备及测试设备。其中东京电子的涂布设备在全球占有率达到87%。另外,FPD制造设备中,蚀刻机设备占有率达到71%。其他设备的占有率也有相当的份额。

东京电子的前身东京电子研究所由久保德雄和小高敏夫成立于年,注册资本万日元,员工6人。主要从事汽车收音机的出口和半导体制造设备的进口。年,东京电子成为FairchildSemiconductor的日本代理商。年,东京电子与ThermcoProductsCorp.合并,成为日本第一家半导体制造设备厂商。

进入年代,日本半导体产业日益兴隆。东京电子进一步积极推动半导体制造设备的国产化。这期间,东京电子和美国公司通过合资公司的形式,从美国引进先进的技术,并与自身的制造技术融为一体。这样,东京电子逐渐扩大国产化的比例,成为可以生产最尖端半导体制造设备的厂商。年,东京电子的半导体制造设备营收额位居全球第一(VLSIResearch公司数据),并连续三年蝉联冠*,至年。这期间,开始拓展海外据点,为海外用户提供本地化服务,在全球奠定了在半导体制造设备领域的领先地位。

东电于年开发出了世界上第一台高压氧化炉,年生产第一台立式扩散炉发货。据VLSIResearch数据,年东电的半导体制造设备营收额突破6亿美元。东电的产品几乎覆盖了半导体制造流程中的所有工序,主要产品包括:涂胶/显影设备、热处理成膜设备、干法刻蚀设备、沉积设备、清洗设备,封测设备。其中涂胶/显影设备在全球占有率达到87%,在FPD制造设备中,刻蚀机占有率达到七成。

2、爱德万测试Advantest

爱德万(Advantest)成立于年,总部位于日本东京市,原名武田理研公司,年爱德万正式跨足半导体测试领域,经过40多年的发展,公司已经成为世界上后道检测设备领先企业。公司一直致力于集成电路测试技术的开发,拥有种类完善的半导体后道测试台和分选机,公司的主要客户有Intel、三星电子、AMD、德州仪器、安靠、日月光、台星科、长电科技、力成、西部数据、通富微电等企业。

在储存器测试台细分市场领域,爱德万以40%的市占率长期位居全球首位,年爱德万成功收购惠瑞捷(Verigy)进*SoC测试,并一度成为全球最大的测试台设备厂商,目前仅次于泰瑞达位居第二。此外公司分选机的性能已经达到了业界的先进水平,其中存储芯片分选机的并行测试容量为,非存储类芯片分选机可同时测量32枚芯片。公司既有能满足高端产品测试需求的解决方案,也兼顾工程研发初期或小规模量产验证的测试设备。

3年公司另辟蹊径地推出了全球第一款基于开放式架构的T0测试系统,该系统以单体测试模块为基础,通过配置不同的测试模块使得用户具有测试不同功能芯片的能力。T0拥有丰富多样、功能强大的测试模块,除了对SoC进行测试外,还可以实现数字测试、电源测试、模拟测试、功率器件测试等功能。用户可以根据特定的测试需求来组合不同的测试模块,实现更加复杂的测试方案,因此产品一经上市便受到了广泛的

1
查看完整版本: 全球半导体设备企业名单